@conference {zu2016t, title = {Ti-States: Processor Power Management in the Temperature Inversion Region}, booktitle = {Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)}, year = {2016}, pages = {1{\textendash}13}, publisher = {IEEE}, organization = {IEEE}, abstract = {Temperature inversion is a transistor-level effect that can improve performance when temperature increases. It has largely been ignored in the past because it does not occur in the typical operating region of a processor, but temperature inversion is becoming increasing important in current and future technologies. In this paper, we study temperature inversion{\textquoteright}s implications on architecture design, and power and performance management. We present the first public comprehensive measurement-based analysis on the effects of temperature inversion on a real processor, using the AMD A10- 8700P processor as our system under test. We show that the extra timing margin introduced by temperature inversion can provide more than 5\% Vdd reduction benefit, and this improvement increases to more than 8\% when operating in the near-threshold, low-voltage region. To harness this opportunity, we present Tistates, a power management technique that sets the processor{\textquoteright}s voltage based on real-time silicon temperature to improve power efficiency. Ti-states lead to 6\% to 12\% measured power saving across a range of different temperatures compared to a fixed margin. As technology scales to FD-SOI and FinFET, we show there is an ideal operating temperature for various workloads to maximize the benefits of temperature inversion. The key is to counterbalance leakage power increase at higher temperatures with dynamic power reduction by the Ti-states. The projected optimal temperature is typically around 60{\textdegree}C and yields 8\% to 9\% chip power saving. The optimal high-temperature can be exploited to reduce design cost and runtime operating power for overall cooling. Our findings are important for power and thermal management in future chips and process technologies.Keywords-timing margin; temperature inversion; power management; reliability; technology scaling}, url = {https://doi.org/10.1109/MICRO.2016.7783758}, author = {Zu, Yazhou and Huang, Wei and Paul, Indrani and Reddi, Vijay Janapa} }